High numerical aperture EUV exposure systems are coming — as soon as 2025 by some estimates. Though certainly a less profound change than the introduction of extreme ultraviolet lithography, high-NA lithography still brings a new set of challenges for photoresists and related materials.

With a higher numerical aperture, photons strike the wafer at a shallower angle. That requires thinner photoresist layers are needed to avoid shadowing. The upside is that a thinner resist layer reduces the risk of pattern collapse, as the aspect ratio of resist features is smaller. However, it also provides less protection for the wafer.

In addition, long etch processes used to create high-aspect ratio wafer features can erode the resist layer, ultimately degrading the transferred pattern. With less material a thinner resist also captures fewer photons, potentially making roughness and other stochastic effects worse.

Chris Mack, CTO of Fractilia, pointed out that stochastic defects are not an inevitable result of high-NA systems. Often those defects are the consequence of a degree of freedom that high-NA provides to lithographers. If feature size is constant, the wider aperture can increase contrast and reduce defects by delivering more photons to a given region. But if, instead, the wider angle is used to increase resolution, printing features that otherwise wouldn’t be reproducible at all, then stochastic effects will likely become worse.

Shot noise: chemical and photonic
The semiconductor industry has spent decades trying to eradicate randomness from the manufacturing process. Sometimes, seemingly random process defects are simply systematic defects for which the root cause is not yet known. In lithography, though, process engineers must contend with a number of sources of true physical randomness.

The first of these, photon shot noise, reflects the random distribution of photons in space. An honest coin flip will land on “heads” about half the time, but it’s still possible to see “tails” twenty times in a row. Similarly, when the exposure dose is large, the photon distribution should align with the center of the desired pattern. Nonetheless, any individual photon might land near the tails of the overall distribution, and near the edges of the desired feature. In EUV lithography, the available dose is relatively low and the desired features are very small. The distribution of photons within a feature resembles not a smooth Gaussian curve, but a scattering of discrete events. Each highly energetic EUV photon excites secondary electrons, too, which ricochet through the resist until all of their energy is absorbed.

A second source of randomness, sometimes described as chemical shot noise, comes from the photoresist itself. Modern chemically amplified resists include many different components — polymer backbone molecules of varying lengths, photoacid generators, solvents, and additives to tune various properties. In a large sample, these components appear to be uniformly distributed, but segregation can still occur during spin-coating and drying, and the wafer’s resist coating may be less uniform than a bulk sample. In small features, there simply aren’t very many individual molecules. And EUV photons are smaller than AFM tips, so resist inhomogeneities that are smaller than the best available metrology can still be “seen” by the incoming photons.

None of these effects is new. The industry has known for a long time that resist inhomogeneities can contribute to roughness. As feature sizes shrink, though, electron blur of a few nanometers and resist inhomogeneities of a few molecules account for a larger fraction of the overall feature dimensions. Now, Mack said, stochastic effects can consume as much as half of the edge placement error budget. [A future article will discuss edge placement error in more detail.]

These molecular-scale inhomogeneities are difficult to study. To examine segregation behavior in resists, Gregory Denbeaux, associate professor at SUNY Polytechnic Institute, introduced polystyrene, an intentionally immiscible component, into a model resist system. In a simple ternary phase diagram (see figure 1), he investigated the bottom right corner, with a low polystyrene concentration and most of the solvent evaporated. As he explained in work presented at the recent SPIE Advanced Lithography and Patterning conference in San Jose, this scenario might model segregation of PAG molecules after spin-coating and before exposure, for example. As the solvent concentration dropped and the polystyrene concentration went up, segregation became energetically favorable. The degree of segregation that actually occurred depended on the mobility of individual molecules.

“Reducing the range of molecules after segregation becomes energetically favorable will reduce segregation,” Denbeaux said. Faster drying, for example, causes the mixture to become viscous more quickly, with less time for segregation.


Fig. 1: Ternary phase diagram showing photoresist segregation. Source: Semiconductor Engineering/Katherine Derbyshire

Denbeaux emphasized this was a model system. Modern photoresists are much less prone to segregation. Still, nothing is homogenous at the dimensions relevant to individual photons.

Optimizing amplified resists
It is not yet clear what resist chemistry the industry will turn to as line dimensions drop below twenty nanometers. Resist screening studies by ASML, in collaboration with the Paul Scherrer Institut, used diffraction gratings in order to eliminate contamination and defect issues associated with photomasks, as researcher Aysegül Develioglu explained. The group compared conventional chemically amplified resists to novel multi-trigger resists. At this time, only CA resists were able to achieve the target 12nm resolution, though multi-trigger resists gave promising results. Multi-trigger resists[1] depend on a series of chemical reactions in close proximity to de-protect the polymer backbone. A single photoacid molecule isn’t enough, reducing the impact of PAG diffusion. Improved contrast and reduced roughness should result.

In the Paul Scherrer Institut study, multi-trigger resists offered the best Z-factor, a figure of merit that incorporates resolution, line roughness, and sensitivity:

Z = CD3 x LWR2 x Dose

Despite the progress that has been made, according to Develioglu, linewidth roughness remains challenging for all the resists studied.

Technical laureate Mingqi Li, of DuPont Electronics and Industrial, discussed the company’s efforts to reduce stochastic effects through the resist structure. Increasing the electron absorption of the polymer matrix could reduce feature blur due to secondary electrons, currently between 3 and 7nm. Using the polymer matrix to fix PAG molecules in place could limit segregation and diffusion. Directly bonding PAG molecules to the polymer matrix was unsuccessful, as it degraded polymer solubility. Instead, Li said, a more promising approach fixed PAG molecules within a molecular glass matrix. If PAG segregation does occur, a more hydrophilic polymer can reduce cluster size by making aggregation less energetically favorable.

Fig. 2: The interaction between photoresist and an EUV photon is complex, with each photon producing a cascade of photoelectron emissions. Source: imec

Another proposal, presented by Cornell professor Christopher Ober, sought to improve on current polymer synthesis methods. Conventional synthesis controls the ratio of monomers, but not the order in which they assemble or the resulting chain length. AAABBB, ABABAB, and AABBAABBAABB are all possible A-B polymers. Ober explained that polypeptoid chemistry offers an alternative, using the structure of the polymer backbone to control solubility, and thus molecular weight. This resist platform, being developed through the U.S. Center for High Precision Patterning Science, offers a tighter molecular weight distribution, and therefore a more homogeneous resist.

Photosensitivity without photoacid
At present, metal-oxide resists are probably the leading alternative to photoacid-driven chemistries. Based on a metal-oxide core, surrounded by ligands that tune solubility, crosslinking, and other properties, these resists offer inherently good etch resistance. The dense core absorbs more energy, too, attenuating electron energy and reducing blur.

Improved etch resistance and absorption address the most serious limitations of thin resists, offering a high NA-friendly solution. Unfortunately, only negative tone metal-oxide resists are available, so they cannot be used for contact holes. Both Inpria (part of JSR Corp.) and Lam Research offer metal-oxide resists, differentiated in part by their approaches to development.

A final resist concept, main chain scission, seeks to reduce inhomogeneities by radically simplifying the resist molecule. One version, described by Akihide Shirotori of Zeon Corp., is built around just two monomers. EUV photons cut high molecular weight chains into smaller, more soluble pieces. The company reports that its formulation is highly stable, able to tolerate long delays between coat, exposure, and development.

More stable resists give fabs more flexibility and facilitate more efficient equipment utilization. But much work remains before these resists are commercially ready. Zeon would like to establish a sharper threshold between exposed and unexposed regions in order to reduce the effects of electron blur. A more efficient scission reaction would also reduce the necessary exposure dose.

While the photoresist is the main component of the pattern transfer stack, its ultimate performance depends on the adsorption and adhesion properties of any underlayers, on the developer chemistry, and on post-development treatments that can help reduce the printability of resist defects. The second part of this article will consider new results in these areas discussed at the SPIE Advanced Lithography and Patterning conference.

Reference

  1. C. Popescu; G. O’Callaghan; A. McClelland; J. Roth; T. Lada; T. Kudo; R. Dammel; M. Moinpour; Y. Cao; A. P. G. Robinson, “Progress in the multi-trigger resist,” Proc. SPIE 11612, Advances in Patterning Materials and Processes XXXVIII, 116120K (5 April 2021); doi: 10.1117/12.2583888

Source: https://semiengineering.com/new-challenges-emerge-with-high-na-euv/